F´ Flight Software - C/C++ Documentation  devel
A framework for building embedded system applications to NASA flight quality standards.
Fw::Logic Class Reference

Logic states. More...

#include <Fw/Types/LogicEnumAc.hpp>

Inheritance diagram for Fw::Logic:
Fw::Serializable

Public Types

enum  T { LOW = 0 , HIGH = 1 }
 The raw enum type. More...
 
enum  { SERIALIZED_SIZE = sizeof(SerialType) , NUM_CONSTANTS = 2 }
 
typedef I32 SerialType
 The serial representation type. More...
 
typedef T t
 For backwards compatibility. More...
 
- Public Types inherited from Fw::Serializable
using SizeType = NATIVE_UINT_TYPE
 

Public Member Functions

 Logic ()
 Constructor (default value of LOW) More...
 
 Logic (const T e1)
 Constructor (user-provided value) More...
 
 Logic (const Logic &obj)
 Copy constructor. More...
 
Logicoperator= (const Logic &obj)
 Copy assignment operator (object) More...
 
Logicoperator= (T e1)
 Copy assignment operator (raw enum) More...
 
 operator T () const
 Conversion operator. More...
 
bool operator== (T e1) const
 Equality operator. More...
 
bool operator!= (T e1) const
 Inequality operator. More...
 
bool isValid () const
 Check raw enum value for validity. More...
 
Fw::SerializeStatus serialize (Fw::SerializeBufferBase &buffer) const
 Serialize raw enum value to SerialType. More...
 
Fw::SerializeStatus deserialize (Fw::SerializeBufferBase &buffer)
 Deserialize raw enum value from SerialType. More...
 

Public Attributes

T e
 The raw enum value. More...
 

Additional Inherited Members

- Protected Member Functions inherited from Fw::Serializable
 Serializable ()
 Default constructor. More...
 
virtual ~Serializable ()
 destructor More...
 

Detailed Description

Logic states.

Definition at line 17 of file LogicEnumAc.hpp.

Member Typedef Documentation

◆ SerialType

typedef I32 Fw::Logic::SerialType

The serial representation type.

Definition at line 28 of file LogicEnumAc.hpp.

◆ t

typedef T Fw::Logic::t

For backwards compatibility.

Definition at line 39 of file LogicEnumAc.hpp.

Member Enumeration Documentation

◆ anonymous enum

anonymous enum
Enumerator
SERIALIZED_SIZE 

The size of the serial representation.

NUM_CONSTANTS 

The number of enumerated constants.

Definition at line 47 of file LogicEnumAc.hpp.

◆ T

The raw enum type.

Enumerator
LOW 

Logic low state.

HIGH 

Logic high state.

Definition at line 31 of file LogicEnumAc.hpp.

Constructor & Destructor Documentation

◆ Logic() [1/3]

Fw::Logic::Logic ( )
inline

Constructor (default value of LOW)

Definition at line 61 of file LogicEnumAc.hpp.

◆ Logic() [2/3]

Fw::Logic::Logic ( const T  e1)
inline

Constructor (user-provided value)

Parameters
e1The raw enum value

Definition at line 67 of file LogicEnumAc.hpp.

◆ Logic() [3/3]

Fw::Logic::Logic ( const Logic obj)
inline

Copy constructor.

Parameters
objThe source object

Definition at line 75 of file LogicEnumAc.hpp.

Member Function Documentation

◆ deserialize()

Fw::SerializeStatus Fw::Logic::deserialize ( Fw::SerializeBufferBase buffer)
virtual

Deserialize raw enum value from SerialType.

Parameters
bufferThe serial buffer

Implements Fw::Serializable.

Definition at line 63 of file LogicEnumAc.cpp.

◆ isValid()

bool Fw::Logic::isValid ( ) const

Check raw enum value for validity.

Definition at line 48 of file LogicEnumAc.cpp.

◆ operator T()

Fw::Logic::operator T ( ) const
inline

Conversion operator.

Definition at line 99 of file LogicEnumAc.hpp.

◆ operator!=()

bool Fw::Logic::operator!= ( T  e1) const
inline

Inequality operator.

Definition at line 111 of file LogicEnumAc.hpp.

◆ operator=() [1/2]

Logic & Fw::Logic::operator= ( const Logic obj)

Copy assignment operator (object)

Parameters
objThe source object

Definition at line 19 of file LogicEnumAc.cpp.

◆ operator=() [2/2]

Logic & Fw::Logic::operator= ( T  e1)

Copy assignment operator (raw enum)

Parameters
e1The enum value

Definition at line 26 of file LogicEnumAc.cpp.

◆ operator==()

bool Fw::Logic::operator== ( T  e1) const
inline

Equality operator.

Definition at line 105 of file LogicEnumAc.hpp.

◆ serialize()

Fw::SerializeStatus Fw::Logic::serialize ( Fw::SerializeBufferBase buffer) const
virtual

Serialize raw enum value to SerialType.

Parameters
bufferThe serial buffer

Implements Fw::Serializable.

Definition at line 54 of file LogicEnumAc.cpp.

Member Data Documentation

◆ e

T Fw::Logic::e

The raw enum value.

Definition at line 161 of file LogicEnumAc.hpp.


The documentation for this class was generated from the following files: